Jackey's Blog

Friday, June 10, 2005

Note Myself: Xilinx ISE 6.3i Fatal Error

Error Message :
"FATAL_ERROR:Xst:Portability/export/Port_Main.h:127:1.13."

Solution :
1. Open the project
1. Highlight the top-level HDL file.
2. Right-click the "Synthesize - XST" process.
3. Select "Properties."
4. Select tab "Xilinx Specific Options".
5. Uncheck "Equivalent Registor Removal"
6. Select "OK."

Thanks to Peter P.

0 Comments:

Post a Comment

<< Home